Search for dissertations about: "Kaxiras Stefanos"

Showing result 1 - 5 of 7 swedish dissertations containing the words Kaxiras Stefanos.

  1. 1. Rethinking Dynamic Instruction Scheduling and Retirement for Efficient Microarchitectures

    Author : Mehdi Alipour; David Black-Schaffer; Stefanos Kaxiras; Mikko H. Lipasti; Uppsala universitet; []
    Keywords : NATURVETENSKAP; NATURAL SCIENCES; Out-of-Order Processors; Energy-Efficient; High-Performance; Instruction Scheduling; Computer Science; Datavetenskap;

    Abstract : Out-of-order execution is one of the main micro-architectural techniques used to improve the performance of both single- and multi-threaded processors. The application of such a processor varies from mobile devices to server computers. READ MORE

  2. 2. Leveraging Existing Microarchitectural Structures to Improve First-Level Caching Efficiency

    Author : Ricardo Alves; David Black-Schaffer; Stefanos Kaxiras; Mattan Erez; Uppsala universitet; []
    Keywords : NATURVETENSKAP; NATURAL SCIENCES; Energy Efficient Caching; Memory Architecture; Single Thread Performance; First-Level Caching; Out-of-Order Pipelines; Instruction Scheduling; Filter-Cache; Way-Prediction; Value-Prediction; Register-Sharing.;

    Abstract : Low-latency data access is essential for performance. To achieve this, processors use fast first-level caches combined with out-of-order execution, to decrease and hide memory access latency respectively. READ MORE

  3. 3. Advances Towards Data-Race-Free Cache Coherence Through Data Classification

    Author : Mahdad Davari; Kaxiras Stefanos; Erik Hagersten; Alberto Ros; Manuel Eugenio Acacio Sánchez; Uppsala universitet; []
    Keywords : TEKNIK OCH TEKNOLOGIER; ENGINEERING AND TECHNOLOGY; Shared Memory Architectures; Multicore; Memory Hierarchy; Cache Coherence; Data Classification;

    Abstract : Providing a consistent view of the shared memory based on precise and well-defined semantics—memory consistency model—has been an enabling factor in the widespread acceptance and commercial success of shared-memory architectures. Moreover, cache coherence protocols have been employed by the hardware to remove from the programmers the burden of dealing with the memory inconsistency that emerges in the presence of the private caches. READ MORE

  4. 4. Efficient Execution Paradigms for Parallel Heterogeneous Architectures

    Author : Konstantinos Koukos; Stefanos Kaxiras; Margaret Martonosi; Uppsala universitet; []
    Keywords : TEKNIK OCH TEKNOLOGIER; ENGINEERING AND TECHNOLOGY; Decoupled Execution; Performance; Energy; DVFS; Compiler Optimizations; Heterogeneous Coherence; Computer Science; Datavetenskap;

    Abstract : This thesis proposes novel, efficient execution-paradigms for parallel heterogeneous architectures. The end of Dennard scaling is threatening the effectiveness of DVFS in future nodes; therefore, new execution paradigms are required to exploit the non-linear relationship between performance and energy efficiency of memory-bound application-regions. READ MORE

  5. 5. Rethinking Speculative Execution from a Security Perspective

    Author : Christos Sakalis; Magnus Själander; Alexandra Jimborean; Stefanos Kaxiras; Josep Torrellas; Uppsala universitet; []
    Keywords : NATURVETENSKAP; NATURAL SCIENCES; NATURVETENSKAP; NATURAL SCIENCES; computer architecture; speculative execution; processor; security; out-of-order execution; side-channel; microarchitectural replay; microarchitecture; Delay-on-Miss; Ghosts; Delay-on-Squash; Computer Science; Datavetenskap;

    Abstract : Speculative out-of-order execution is one of the fundamental building blocks of modern, high-performance processors. To maximize the utilization of the system's resources, hardware and software security checks in the speculative domain can be temporarily ignored, without affecting the correctness of the application, as long as no architectural changes are made before transitioning to the non-speculative domain. READ MORE